ModelSim

ModelSim is a multi-language HDL simulation environment by Mentor Graphics,for simulation of hardware description languages such as VHDL, Verilog and SystemC, and includes a built-in C debugger.  ModelSim can be used independently, or in conjunction with Intel Quartus Prime, Xilinx ISE or Xilinx Vivado. Simulation is performed using the graphical user interface (GUI), or automatically using scripts. ModelSim uses a unified kernel for simulation of all supported languages, and the method of debugging embedded C code is the same as VHDL or Verilog. In addition to supporting standard HDLs, ModelSim increases design quality and debug productivity. ModelSim’s award-winning Single Kernel Simulator (SKS) technology enables…

Read More